实例教学法在程序设计中的应用

时间:2017-01-24 21:28:21 来源:论文投稿

(一)实例教学法的教学实施

《VHDL语言程序设计》作为一门数字电路硬件描述语言课程,VHDL语言虽然与计算机程序设计语言有相似之处,但是从严格意义上讲,VHDL程序不能称为“程序”,而应称为“代码”,其语句的运行也不能称为“执行”,而应称为“实现”,因此VHDL语言程序设计具有不同计算机编程语言的编程方法与技巧。数字电路既有简单的组合电路,也有复杂的片上系统,复杂的数字电路由简单基本电路组成。基本电路主要包括:多路选择电路、加法器(减法器)、D触发器、比较器、计数器、移位寄存器、三态门电路等。教学中采用实例安排组织教学,由简单到复杂,并综合考虑VHDL语法规则,对实例作恰当地取舍和教学顺序调整。课程教学分为三部分:简单实例讲解、VHDL语法规则归纳与补充、复杂实例讲解。1)简单实例讲解:简单实例是数字系统设计的基本电路实例,通过典型实例的讲解,使学生掌握VHDL语言规则和基本电路的设计。具体的讲解过程如下:a.二选一多路选择器通过该实例讲解简单组合电路的设计方法,同时讲解VHDL程序的基本结构,顺序语句(IF语句、赋值语句)、并行语句(进程语句、赋值语句),以及BIT数据类型、逻辑操作符等VHDL语法规则;b.D触发器通过该实例讲解时序电路的基本设计方法,同时讲解的VHDL语法规则中的标准逻辑位数据类型、标准库(程序包)、上升沿检测表达式等VHDL语法规则;c.全加器通过该实例讲解加法器(减法器)的基本设计方法,同时讲解CASE语句、例化语句、矢量数据类型等VHDL语法规则;d.计数器通过该实例讲解不同计数器的设计方法,同时介绍常用数据类型、重载运算符等VHDL语法规则;e.移位寄存器通过该实例讲解移位寄存器的设计方法,同时讲解VHDL的功能仿真、时序仿真;f.复杂多路选择器分析复杂多路选择器实例,讲解信号与变量的区别;g.三态门电路通过该实例讲解三态门电路的设计方法,以满足现实数字电路系统中数据总线设计的需要。2)VHDL语法规则总结和补充简单实例讲解中的实例不可能覆盖所有的VHDL语法规则,因此在实例讲解后,需要对VHDL语法规则总结和补充。在具体教学实施中,可以采用提问法对所学的VHDL语法规则归纳总结,同时补充遗漏的VHDL语法规则。3)16位CPU复杂实例讲解复杂实例能够深化和提升学生所学的知识,培养综合运用所学知识解决实际问题的能力。以16CPU设计为基础,讲解复杂数字电路的设计,同时讲解设计中所涉及的新知识,主要包括原理图设计方法、宏模块的调用、状态机设计。

(二)实例教学法实施的注意事项

在实例教学实施中,要注重学生的主体性,提出问题,解决问题,对所讲的实例做到深层次分析,举一反三,注重程序设计的灵活掌握。实例的讲解和VHDL语法规则的讲解是同步的过程,没有严格前后之分,语法规则的讲解融合在实例讲解中。

(三)实例教学法的教学效果

近四年来,本人一直负责该课程的教学工作,其中最近两年采用了实例教学法安排教学,实践表明实例教学法的应用有效提高了教学效果。根据学校的网上学术论坛杂志简介详见

(四)结束语

以系统的实例安排教学,发挥学生在教学中的主体地位,能够激发学生的学习兴趣,使学生从整体把握课程的内容。但是采用实例教学法安排教学一般只适应于操作性较强的课程,对于理论性较强的课程,大量的实例教学不太适用。此外,由于目前的教材大多没有采用实例教学法为主线安排课程内容,因此需要教师编写教材,或者在对现有教材重新组织。

作者:孙统风 单位:中国矿业大学计算机科学与技术学院


更多行政管理论文详细信息: 实例教学法在程序设计中的应用 论文代写
http://m.400qikan.com/lw-116727 论文代发

相关专题:货币的乘数效应 同课异构评课

相关论文

湖南论文发表

国外行业2017-04-02 08:36:27
相关学术期刊
《江苏实用心电学杂志》 《临床放射学杂志》 《现代电影技术》 《新世纪周刊》 《浙江畜牧兽医》 《河南畜牧兽医》 《农业科学与技术》 《宇航材料工艺》 《国际神经病学神经外科学杂志》 《军队党的生活》

< 返回首页